Get ice timing reporting working

This commit is contained in:
Kelvin Ly 2020-01-05 15:17:28 -05:00
parent af286d07ca
commit 6b49bb1e11
3 changed files with 7 additions and 5 deletions

1
rtl/.gitignore vendored
View File

@ -1,3 +1,4 @@
*.asc
*.bin
*.json
*.rpt

View File

@ -15,12 +15,13 @@ ${FN}.json: ${FN}.v
${FN}.asc: ${FN}.json ${FN}.pcf
nextpnr-ice40 ${NEXTPNR_OPTS} --pcf "${FN}.pcf" --json "${FN}.json" --asc "${FN}.asc"
#${FN}.rpt: ${FN}.pcf ${FN}.txt
# icetime $ICETIME_OPTS -p "${FN}.pcf" -mtr "${FN}.rpt" "${FN}.txt"
${FN}.rpt: ${FN}.pcf ${FN}.asc
icetime ${ICETIME_OPTS} -p "${FN}.pcf" -mtr "${FN}.rpt" "${FN}.asc"
${FN}.bin: ${FN}.asc
icepack ${FN}.asc ${FN}.bin
timing: ${FN}.rpt
clean:
rm ${FN}.json ${FN}.asc ${FN}.bin ${FN}_filled.bin

View File

@ -3,11 +3,11 @@ module bldc (
output if_int
);
reg tmp = 0;
assign if_int = tmp;
reg [3:0] tmp = 0;
assign if_int = tmp[3];
always @(posedge clk) begin
tmp <= ~tmp;
tmp <= tmp + 1;
end
endmodule